2018年度  第10期


标题:基于DDS技术的扫频信号发生器设计与实现
作者:朱科
作者单位:东南大学自动化学院,江苏 南京 210096
关键字:扫频信号发生器,DDS,FPGA,Verilog HDL
摘要:扫频信号发生器能够生成频率在限定范围内作线性变化而幅值恒定的信号,它在通信、雷达、电子对抗、导航等许多领域中都被广泛应用。现在被广泛应用的信号发生器大多采用直接数字频率合成(DDS)技术。基于DDS技术,在Altera公司的Quartus II软件的开发平台下,以FPGA器件为逻辑控制电路载体,采用硬件描述语言Verilog HDL编程实现频率、扫频带宽和步进频率可调节的扫频信号源设计。经验证,该设计方案可行,达到预期目标。